Vijaykrishnan Narayanan

A. Robert Noll Chair Professor of Computer Science and Engineering and Electrical Engineering

Vijaykrishnan Narayanan

Huck Affiliations

Publication Tags

These publication tags are generated from the output of this researcher. Click any tag below to view other Huck researchers working on the same topic.

Field Effect Transistors Field Effect Transistor Tunnels Capacitance Ferroelectric Materials Networks (Circuits) Electric Potential Voltage Tunnel Fet Energy Harvesting Tunnel Field Effect Transistors Heterojunctions Radio Frequency Feedback Mechanism Negative Resistance Electrical Noise Spice Electric Network Analysis Input Power Data Storage Equipment Flip Flop Circuits Transistor Electrons Non Volatile Processor Hysteresis

Most Recent Publications

Cramming More Weight Data Onto Compute-in-Memory Macros for High Task-Level Energy Efficiency Using Custom ROM With 3984-kb/mm<sup>2</sup>Density in 65-nm CMOS

Guodong Yin, Yiming Chen, Mufeng Zhou, Wenjun Tang, Mingyen Lee, Zekun Yang, Tianyu Liao, Xirui Du, Vijaykrishnan Narayanan, Huazhong Yang, Hongyang Jia, Yongpan Liu, Xueqing Li, 2024, IEEE Journal of Solid-State Circuits on p. 1912-1925

Enhancing Lifetime and Performance of MLC NVM Caches Using Embedded Trace Buffers

S. Sivakumar, John Jose, Vijaykrishnan Narayanan, 2024, ACM Transactions on Design Automation of Electronic Systems

Jianfeng Wang, Zhonghao Chen, Jiahao Zhang, Yixin Xu, Tongguang Yu, Ziheng Zheng, Enze Ye, Sumitha George, Huazhong Yang, Yongpan Liu, Kai Ni, Vijaykrishnan Narayanan, Xueqing Li, 2024, ACM Transactions on Design Automation of Electronic Systems

Shamiul Alam, Md Shafayat Hossain, Kai Ni, Vijaykrishnan Narayanan, Ahmedullah Aziz, 2024, Journal of Applied Physics

An FPGA-based Max-K-Cut Accelerator Exploiting Oscillator Synchronization Model

Mohammad Khairul Bashar, Zheyu Li, Vijaykrishnan Narayanan, Nikhil Shukla, 2024,

CafeHD: A Charge-Domain FeFET-Based Compute-in-Memory Hyperdimensional Encoder with Hypervector Merging

Taixin Li, Hongtao Zhong, Juejian Wu, Thomas Kampfe, Kai Ni, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li, 2024,

TroScan: Enhancing On-Chip Delivery Resilience to Physical Attack Through Frequency-Triggered Key Generation

Jianfeng Wang, Shuwen Deng, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li, 2024,

GRAPHIC: Gather and Process Harmoniously in the Cache with High Parallelism and Flexibility

Yiming Chen, Mingyen Lee, Guohao Dai, Mufeng Zhou, Nagadastagiri Challapalle, Tianyi Wang, Yao Yu, Yongpan Liu, Yu Wang, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li, 2024, IEEE Transactions on Emerging Topics in Computing on p. 84-96

PRIMATE: Processing in Memory Acceleration for Dynamic Token-pruning Transformers

Yue Pan, Minxuan Zhou, Chonghan Lee, Zheyu Li, Rishika Kushwah, Vijaykrishnan Narayanan, Tajana Rosing, 2024, on p. 557-563

Yixin Xu, Zijian Zhao, Yi Xiao, Tongguang Yu, Halid Mulaosmanovic, Dominik Kleimaier, Stefan Duenkel, Sven Beyer, Xiao Gong, Rajiv Joshi, Xiaobo Hu, Shixian Wen, Amanda Sofie Rios, Kiran Lekkala, Laurent Itti, Eric Homan, Sumitha George, Vijaykrishnan Narayanan, Kai Ni, 2024, Science advances

Most-Cited Papers

Architecture exploration for ambient energy harvesting nonvolatile processors

Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, Vijaykrishnan Narayanan, 2015, on p. 526-537

Tunnel FET technology: A reliability perspective

Suman Datta, Huichu Liu, Vijaykrishnan Narayanan, 2014, Microelectronics and Reliability on p. 861-874

Nikhil Shukla, Abhinav Parihar, Eugene Freeman, Hanjong Paik, Greg Stone, Vijaykrishnan Narayanan, Haidan Wen, Zhonghou Cai, Venkatraman Gopalan, Roman Engel-Herbert, Darrell G. Schlom, Arijit Raychowdhury, Suman Datta, 2014, Scientific Reports

Device-Circuit Analysis of Ferroelectric FETs for Low-Power Logic

Shreya Gupta, Mark Steiner, Ahmedullah Aziz, Vijaykrishnan Narayanan, Suman Datta, Sumeet Kumar Gupta, 2017, IEEE Transactions on Electron Devices on p. 3092-3100

Nonvolatile memory design based on ferroelectric FETs

Sumitha George*, Sumitha George, Kaisheng Ma, Ahmedullah Aziz, Ahmedullah Aziz*, Xueqing Li, J Sampson, Asif Khan, Asif Khan, Sayeef Salahuddin, Sayeef Salahuddin, Meng Fan Chang, Suman Datta, Meng-Fan Chang, S Datta, John Sampson, Sumeet Gupta, Vijaykrishnan Narayanan, 2016,

Xueqing Li, John Sampson, Asif Khan, Kaisheng Ma, Sumitha George, Ahmedullah Aziz, Sumeet Kumar Gupta, Sayeef Salahuddin, Meng Fan Chang, Suman Datta, Vijaykrishnan Narayanan, 2017, IEEE Transactions on Electron Devices on p. 3452-3458

Tunnel FET RF rectifier design for energy harvesting applications

Huichu Liu, Xueqing Li, Ramesh Vaddi, Kaisheng Ma, Suman Datta, Vijaykrishnan Narayanan, 2014, IEEE Journal on Emerging and Selected Topics in Circuits and Systems on p. 400-411

Arijit Raychowdhury, Abhinav Parihar, Gus Henry Smith, Vijaykrishnan Narayanan, Gyorgy Csaba, Matthew Jerry, Wolfgang Porod, Suman Datta, 2019, Proceedings of the Institute of Radio Engineers on p. 73-89

Electrical noise in heterojunction interband tunnel FETs

Rahul Pandey, Bijesh Rajamohanan, Huichu Liu, Vijaykrishnan Narayanan, Suman Datta, 2014, IEEE Transactions on Electron Devices on p. 552-560

Analysis of DIBL Effect and Negative Resistance Performance for NCFET Based on a Compact SPICE Model

Yuhua Liang, Xueqing Li, Sumeet Kumar Gupta, Suman Datta, Vijaykrishnan Narayanan, 2018, IEEE Transactions on Electron Devices on p. 5525-5529